用與非門設計四變數多數表決電路急求

2021-04-22 14:12:14 字數 3801 閱讀 6263

1樓:匿名使用者

1.確定輸入du、輸出變數

①輸入變數:

a、zhib、c、d ―――→ 四名評判員dao ②輸出回變數:   f       ―――→  燈

③用正邏答輯表示:a=1,表示同意,a=0表示判不同意;                    b=1,表示同意,b=0表示判不同意;                    c=1,表示同意,c=0表示判不同意。

d=1,表示同意,c=0表示判不同意。

f=1,表示燈亮,f=0表示燈不亮。 2、列出真值表3、函式式

_     _     _     _

f=abcd+abcd+abcd+abcd+abcd4畫圖

2樓:love藝顏

(1)真值表

abcd  f

0000   0

0001   0

0010   0

0011   0

0100   0

0101   0

0110   0

0111   1

1000   0

1001   0

1010   0

1011   1

1100   0

1101   1

1110   1

1111   1

(2)邏輯du函式

f=abcd+abcd'+abc'd+ab'cd+a'bcd=abc+abd+acd+bcd

(3)與非zhi形式dao

f=[(

專abc)'(abd)'(acd)'(bcd)']'={屬[ab(c'd')']'[cd(a'b')']'}』(4)

3樓:匿名使用者

最後那個框裡應該是≥1吧

13. 用與非門設計四變數的多數表決電路。當輸入變數a、b、c、d有3個或3個以上為1時輸出為1,輸入為其它狀 10

4樓:匿名使用者

附圖的電路可以實現樓主的目的。

前四個3輸入與非門分別與不同組合的三個開關接通,當所有的開關不合上時,與非門輸入端全部被下拉電阻置0。這四個3輸入與非門都輸出1,則後一個4輸入與非門輸出0。

當任意一個3輸入與非門的開關被全部接通(有3票同意),則該與非門的輸入腳全部置1,它將輸出0,這樣後一個4輸入與非門的其中一個輸入腳0電位,則輸出為1,達到樓主設定的目的。

怎樣用八選一資料選擇器實現四變數的多數表決器 需要過程 謝謝

5樓:森庸眭辰韋

一、確定輸入、輸出變數

①輸入變數:a、b、c

―――→

三名評判員(其中a為主評判員)

②輸出變數:y

―――→

燈③用正邏輯表示:a=1,表示同意,a=0表示判不同意;

b=1,表示同意,b=0表示判不同意;

c=1,表示同意,c=0表示判不同意。

y=1,表示燈亮,y=0表示燈不亮。

二、列出真值表

輸入變數

輸出變數ab

cy00

0000

1001

0001

1010

0010

1111

0111

11三、寫出函式表示式並化簡

1、根據真值表寫出函式表示式——

y=abc+abc+abc

2、對表示式進行化簡

可能出現的結果:y=ab+ac

y=a(b+c)

四、畫邏輯電路圖

根據化簡的表示式畫出對應的邏輯電路圖。

用與非門設計四變數的多數表決電路。當輸入變數a、b、c、d只有3個為1時輸出為1,輸入為其它狀

6樓:甘秀珍年燕

這太簡單了,先列出真值表,寫出表示式,就能畫出與非閘電路圖了

利用4選1資料選擇器(74ls153)設計一個3人表決器電路

7樓:蒙凝絲百石

這題。。。不知道給了你答案會不會害你。數電很有趣的,能鍛鍊你的邏輯能力。

設三個人分別用a、b、c表示,f=1表示通過,f=0表示不通過。1表示同意,0表示不同意。

(假設你的要求是有兩個以上的人同意就通過)得以下真值表:ab

cf00

0000

1001

0001

1110

0010

1111

0111

11用ppt畫的圖,手打的。望採納。

設計一個三變數表決電路:輸出與多數變數的狀態一致.

8樓:匿名使用者

2.用資料選擇器74ls151設計邏輯函式:

- - - -                -

y=abcd+abc+abcd

如何用與非門設計一個四變數多數表決電路?

9樓:love藝顏

(1)真值表

abcd  f

0000   0

0001   0

0010   0

0011   0

0100   0

0101   0

0110   0

0111   1

1000   0

1001   0

1010   0

1011   1

1100   0

1101   1

1110   1

1111   1

(2)邏輯函式

f=abcd+abcd'+abc'd+ab'cd+a'bcd=abc+abd+acd+bcd

(3)與非形式

f=[(abc)'(abd)'(acd)'(bcd)']'={[ab(c'd')']'[cd(a'b')']'}』(4)

4、設計一個a、b、c三人表決電路,當多數人同意,提案通過,同時a具有否決權。要求用74ls151資料選擇器實

10樓:

試一試,有問題再商量 (鬱悶!為什麼上傳不了**啊)

11樓:匿名使用者

如圖:三位開關代表a,b,c

開關閉合時代表同意

out1對應的開關代表a表決按鈕

用8選1資料選擇器74ls151設計三輸入多數表決電路

12樓:秀秀的旺仔

如果三個人對一件事情的通過與否進行表決,則按照經驗,如果有兩個或兩個以上的人通過,則該事情最終被通過。下面我們就用數位電子技術的相關知識製作這麼一個表決器。假設通過用高電平「1」來表示,相反,則不通過用低電平「0」來表示。

將地址端a、b、c作為輸入端,將輸入端d0-d7作為控制端,因74ls151低電平有效,故將其使能端g置低電平,輸出為s。

abc共有八種不同的輸入狀態,即:000、001、010、011、100、101、110、111。而我們希望abc為011、101、110、111時,輸出為「1」,其餘輸出為「0」。

則寫成邏輯表示式為:s=a'bc+ab'c+abc'+abc=m3d3+m5d5+m6d6+m7d7

故將d3、d5、d6、d7端接高電平,其餘控制端接低電平,這樣就構成了三輸入的表決器。

13樓:匿名使用者

有011,101,110,111四種情況,所以需要4塊151,分別接d3,d5,d6,d7的高電平,輸出端用151的y,其他的就簡單了

用八選一資料選擇器和與非門設計電路

說清楚點啥 問題都沒看懂 f 到底是什麼呀 這個總要說嘛 你的0 0 a 指的是什麼呀 輸入麼 無能為力 用八選一資料選擇器74ls151和閘電路設計一個電路圖,設 0可被2或5整除,要求電路真值表如圖,對比74ls151真值表得出邏輯電路圖。試用8選1資料選擇器和閘電路設計一個多功能電路,儘量帶上...

C語言!對於學生的四門課成績,利用全域性變數,用函式求出所有成績的最高分及最低分

include float min,max struct student s 5 main min max s 0 a 0 for i 0 i 5 i for j 0 j 4 j 咯咯 c語言程式設計 錄入評委的成績,評委人數不定,成績為負數時,錄入結束,並求出最高分和最低分。求解 includei...

在工作中做平面設計用PS多還是用CORELDRAW多

ps主要是處理點陣圖,coreldraw處理向量圖,各有優缺點 追問 這個我知道,我是問做 廣告主 要用哪專個軟體 回答 感覺用的 屬都挺多的 只是coreldraw對印刷上處理要好點,應該用得多點 平面設計用ps還是coreldraw?哪個好?這個問題要有個前提,你是要做什麼型別的平面設計。如果是...